CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 电子琴

搜索资源列表

  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. epiano.vhdl

    0下载:
  2. 电子琴VHDL程序包含有:顶层程序、音阶发生器程序、数控分频模块程序和自动演奏模块程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:50220
    • 提供者:李立
  1. VHDh

    0下载:
  2. vhdl.电子琴程序设计.本人从某个地方下. 请大家注意保密.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10427
    • 提供者:朔夜
  1. vhdl

    0下载:
  2. 本源码设计了自动电子琴,是本人课程设计的题目。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:13550880
    • 提供者:sunlina
  1. 电子琴VHDL语言

    0下载:
  2. 电子琴VHDL语言
  3. 所属分类:行业应用软件

    • 发布日期:2009-01-11
    • 文件大小:522690
    • 提供者:fpga18
  1. dianziqing.rar

    0下载:
  2. vhdl代码: 电子琴程序设计与仿真!初学fpga者可以参考参考!!比较简单,VHDL code: program design and simulation of electric piano! FPGA beginner who can refer to reference! ! Relatively simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:49588
    • 提供者:daxiadian2
  1. VHDL

    0下载:
  2. 1、 设计一个简易电子琴。要求能演奏的音域为中音的 1 到高音的 1。 2、 用GW48-PK2中的8个按键作为琴键。 3、 GW48-PK2中有扬声器。 4、 可以使用GW48-PK2上的12MHz作为输入时钟信号。 -1, the design of a simple flower. Requirements can play for the tenor of the range of 1 to treble the 1.2, and GW48-PK2 in eight ke
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:31012
    • 提供者:朱磊
  1. 38d7dd72-eb79-40e9-b362-77110e0ab3b9

    0下载:
  2. 基于EDA的八音自动播放电子琴设计 内有VHDL语言设计 有-The octave-based EDA player automatically have a flower design language VHDL design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:80654
    • 提供者:刘军
  1. diaziqin

    1下载:
  2. 这是一个简单的VHDL电子琴程序,分为三个源代码,与其他的源代码不同的是,这个代码比较简单,适合于初学者。-This is a simple flower VHDL procedures, divided into three source code, source code with other difference is that this code is relatively simple, suitable for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2466
    • 提供者:
  1. vhdl1

    0下载:
  2. 电子琴程序设计与仿真的vhdl实现的源代码-Electronic organ program design implementation and simulation of vhdl source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:49305
    • 提供者:胡爱军
  1. elcmusic

    0下载:
  2. 电子琴设计的VHDL仿真,希望对大家有用,上面有源代码-Electronic organ VHDL design simulation, useful to all of us hope that the top source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:49355
    • 提供者:
  1. zhushaoyong

    0下载:
  2. 设计并制作一个14键单音电子琴预先存入一些曲谱电路在4Hz的时钟控制下自动播放 通过220V电源适配器给电路提供工作电源-Design and production of a 14-key electric piano tone into a number of music scores advance in 4Hz clock circuit under the control of automatic play through 220V power adapter to provide
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:490862
    • 提供者:邱颖
  1. dianziqin

    0下载:
  2. 用VHDL语言编写的电子琴实验程序。能完成很多功能啊。-VHDL language of the keyboard with the experimental procedure. Able to complete many functions ah.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:7967
    • 提供者:wanli
  1. dianziqin

    0下载:
  2. 这个程序是利用Quartus II编写的利用数控分频器设计硬件电子琴,主系统由3个模块组成,顶层设计文件内部有三个功能模块:SPEAKER.VHD 和TONE.VHD和NoteTabs.vhd。模块TONE是音阶发生器,模块SPEAKER中的主要电路是一个数控分频器,NOTETABS模块用于产生节拍控制和音阶选择信号。-This program is the use of Quartus II design prepared by the use of CNC divider hardware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:389199
    • 提供者:哈哈
  1. songer

    0下载:
  2. VHDL的电子琴设计,欢迎大家下载-VHDL design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:629060
    • 提供者:gleleven11
  1. VHDL

    0下载:
  2. 本文介绍的是基于VHDL的简易电子琴的设计,采用EDA作为开发工具。-This article describes a simple VHDL-based keyboard design and use of EDA as a development tool.
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:124742
    • 提供者:艾无止境
  1. dianziqin

    0下载:
  2. 本源码讲解了电子琴的设计思想以及源代码的编写方法。-The source explained the keyboard design ideas, as well as the compilation of source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:890888
    • 提供者:王伟
  1. key_music

    0下载:
  2. 简易硬件电子琴 在开发板上实现一个简易电子琴,按下KEY1~KEY7 分别表示中音的DO、 RE、MI、FA、SOL、LA、SI 按住KEY8 再按KEY1~KEY7 分别表示高音的 DO、RE、MI、FA、SOL、LA、SI。通过这个实验,掌握利用蜂鸣器和按键 设计硬件电子琴的方法。-Simple hardware keyboard In the development of board achieve a simple keyboard, press KEY1 ~ KEY
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:10734
    • 提供者:罗文
  1. xilinx-VHDL

    0下载:
  2. VHDL电子琴 报告 华中科技大学xilinx课赛结合-xilinx VHDL electronic organ report
  3. 所属分类:software engineering

    • 发布日期:2017-05-11
    • 文件大小:2309423
    • 提供者:黄钲喆
  1. VHDL简易电子琴设计(1).doc

    0下载:
  2. 这是一个简单的基于vhdl的电子琴,有自动播放和使用按键来制作不同的音色。(The design of a simple electronic organ based on VHDL, with the automatic playing and the use of keystrokes to make different tones)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:124928
    • 提供者:找你呢
« 12 3 4 5 »
搜珍网 www.dssz.com